Intellex Acquires Expert by Big Village

We're thrilled to announce that Intellex has acquired Expert by Big Village, effective March 22, 2024. This strategic move enhances our capabilities and strengthens our commitment to delivering exceptional solutions to our customers.

Stay tuned for more updates on how this acquisition will benefit our clients and experts.

For inquiries or more information, please contact us at info@intellex.com.

×

Expert Details

Electronic Material Processing, Chemical-Physical Analysis, Device Modeling, Biomedical Sensors

ID: 730654 Canada

Request Expert

Expert has over thirty years experience in the design, micro-fabrication, analysis and modeling of electronic devices, transistors, and sensor arrays. He has extensive experience with the advanced processes for device fabrication: depositing the metal electrode and contact layers by sputtering, by electron-beam or by thermal evaporation; the deposition of the insulator layers by plasma-enhanced chemical vapour deposition (PECVD), reactive sputtering and atomic layer deposition (ALD); and the formation of ultrathin oxides and oxynitrides of Al, Hf, Zr and Gd by PECVD on silicon or III-V semiconductors.

In the last 10 years he has developed considerable expertise with the deposition of gate-quality insulator films on semiconductors using conventional thermal ALD and plasma-enhanced ALD (PE-ALD). This includes specific experience with the precursor TMA (trimethyl aluminium) for the formation of aluminium oxide and alkylamide precursors for the formation of Hf and Ti oxides. The Hf and Ti nitrides and oxynitrides have been formed using ammonia plasma in the reaction pulses.

Expert has extensive experience with the instrumentation and techniques required to determine the current - voltage (IV), capacitance – voltage (CV), and impedance characteristics of electronic devices using a wide range of instruments; pico-ammeters with DC voltage sources, source-measure units, and precision LCR meters (HP 4284A and 4275A covering the frequency range from 20 Hz-10 MHz). He is familiar with control of the instruments using codes written in Labview, Visual Basic, C++, Fortran, and HT Basic.

Expert is experienced with film analysis using fourier-transform infrared spectroscopy (FTIR), spectroscopic ellipsometry (SE), x-ray photoelectron spectroscopy (XPS) and Auger electron spectroscopy; has monitored the growth of insulator films in-situ and characterized the films ex-situ using both SE and XPS; is experienced in analyzing films using angle-resolved Auger electron spectroscopy (AES) and obtained composition profiles from sputter depth-profiling of the secondary-ion mass spectra or XPS signals. He has worked with other scientists evaluating materials by Rutherford backscattering spectrometry, medium-energy ion scattering, nuclear reaction analysis, transmission electron microscopy (TEM and STEM).

Expert is familiar with the etching processes (wet, reactive-ion, and inductively-coupled plasma) and the lithography used to pattern the layers. In fact his work has touched on most processes used to fabricate advanced deep-submicron devices including their bonding, encapsulation, planarization, chemical-mechanical polishing, and the design of masks for the lithography and printing processes using software such as AutoCAD or L-edit.


In the past 10 years Expert’s work has focused on fabricating sensor arrays that could detect specific oligonucleotides and proteins in electrolyte solutions. These arrays (with 49 elements) could indicate the existence of pathogens in real solutions obtained in the field. The sensor arrays used commercial metal-oxide-silicon (MOS) field effect transistors (MOSFETs) as the sensing elements and analogue and digital complementary MOS (CMOS) circuits to process the sensor signals. To demonstrate that different target molecules from test solutions could be detected in the individual sensors in an array, the FET surfaces were functionalized (spotted) with molecules that would conjugate with the various target molecules; i.e., the array elements were spotted with oligonucleotides (DNA fragments) that were complementary to the target and control molecules. The test and control solutions flowed over the sensor elements on the surface through a microfluidic channel fabricated in an elastic polymer applicator pressed against the sensor array surface. Similar technology could be used to create sensors arrays that use amperometric measurements rather than changes in current from field–effect sensors.

Expert has collaborated extensively to develop simulation software to predict the performance of the switching and sensing elements using two-dimensional finite element and finite difference calculations. These use the standard equations for the semiconductor and gate insulator and the the layers of linkers and biomoleules are described as permeable membranes. Each layer is described by the appropriate Poisson-Boltzmann equation, stoichiometric relationships, and the necessary boundary conditions.

Expert is focussed on the global effort that has shown that single wall carbon nanotubes (CNTs) could be fabricated into ambipolar MOS devices with oxide insulator layers composed of Hf and Al oxides produced by ALD. By optimization of the source, drain and gate contacts, stable complementary p- and n-type CNTs will be integrated to form a form of carbon-based CMOS technology that used CNT transistors but would have lower costs per unit area. The transistors could have bandgaps close to that of silicon and channel lengths on the order of microns, but with considerably higher carrier mobility, switching speeds, and frequency response than conventional Si CMOS transistors having comparable dimensions.

Expert hopes to show that biomedical sensors can be fabricated by functionalizing CNT networks produced by printing processes (using inks containing CNTs for ink-jet, gravure, or screen printing). These processes can be used to make Ag or graphitic (multilayer graphene) source/drain contacts. Since the ALD printing technology is advancing rapidly to the point where roll-to-roll or large batch processing is feasible, this could dramatically reduce the cost of the sensor arrays by using printing processes on rolls or sheets of flexible plastic substrates (“printable electronics”).


While working at NRC Canada Expert developed a process for Nortel Networks to produce a-Si/SiO2 anti-reflection coating stacks for waveguide lasers using an electron-cyclotron resonance chemical-vapour deposition process (1999).
He was a consultant to Fiber Optic Gateways in Fredericton, NB, to produce oxides and nitrides for optical waveguide devices (2000). He was co-ordinator of a subcontract to make RF MEMS switches from COM DEV Cambridge, Ontario under a contract with the Canadian Space Agency (2001).
He was advisor to ALFT of Hull, Quebec on applications for their high-power pulsed plasma x-ray source (2001-2005).
He evaluated metallo-organics provided by Epichem, Inc. in the U.K. as part of a collaboration to find new precursors for the chemical vapour and atomic layer deposition processes for rare-earth oxides and silicates (2001-2005).

Education

Year Degree Subject Institution
Year: 1974 Degree: MSc and PhD Subject: Molecular and Solid-State Physics Institution: University of Toronto (Canada)
Year: 1969 Degree: BSc Subject: Chemistry and Physics (four year honours degree) Institution: University of Waterloo (Canada)

Work History

Years Employer Title Department
Years: 1983 to Present Employer: Undisclosed Title: Principal Research Officer Department: Bldg M50/Engineering Division
Responsibilities:
He started as a senior research officer (SRO) and presently is a principal research officer (PRO), the highest rank for scientists at NRC Canada. He has been a project co-coordinator and has been responsible for the establishment of tools for plasma processing (etching and deposition). The depositions are done by thermal and plasma-enhanced atomic layer deposition, plasma-enhanced chemical vapour deposition, sputtering, electron beam deposition, and thermal evaporation. Etching involved reactive-ion sources and inductively-coupled plasma sources. He has been intimately involved in the electrical, chemical, and physical analysis of the ultra-thin films produced by these techniques. He has been a leader of specific projects to develop a cluster tool for the deposition of ultra-thin insulators and biosensor arrays.
Years Employer Title Department
Years: 1980 to 1983 Employer: Delphax Printing Systems Title: Mangager of Imaging Technology Department: reporting to the Director of R&D
Responsibilities:
Expert was responsible for the development of electronic components for an electrographic printer. These were built from layers of glass, metal, polymer and ceramic materials using printed-circuit, thick-film and thin-film patterning techniques. He was responsible for pilot line production and transfer of the new technology to manufacturing and managed up to 8 people.
Years Employer Title Department
Years: 1976 to 1980 Employer: Xerox Corporation Title: Scientist Department: Xerox Research Centre of Canada
Responsibilities:
Expert was responsible for developing new electrographic printing technologies involving corona generation and ion deposition. This included the evaluation of the physical properties of polymer and ceramic materials and modelled the performance of xerographic and electrographic printing subsystems.
Years Employer Title Department
Years: 1974 to 1976 Employer: University of London (England) Title: NATO Postdoctoral Fellow Department: Imperial College
Responsibilities:
In his work to characterize the interaction of high-power laser pulses with solids, Expert was reposponsible for improving streak cameras used to record the time evolution of x-ray emissions from laser-produced plasmas.

Government Experience

Years Agency Role Description
Years: 1983 to Present Agency: National Research Council of Canada Role: Principal Research Officer Description: Expert started as a senior research officer (SRO) and presently is a principal research officer (PRO), the highest rank for scientists at NRC Canada. He has been a project leader and has been responsible for the establishment of tools for plasma processing (etching and depostition), atomic layer deposition, sputtering, electron beam deposition, and thermal evapration. He has been intimately involved in the electrical, chemical, and phsyical analysis of the ultra-thin films prodcued by these techniques. He has been a leader of specific projects to develop cluster tools and biosensor arrays.

International Experience

Years Country / Region Summary
Years: 1995 to 1996 Country / Region: Sweden/Scandinavia Summary: Expert was a visiting scientist for six months at the Department of Solid State Electronics, Chalmers University, in Gothenburg, Sweden where he worked on the deposition of silicon dioxide with nitrided interfaces using an electron-cyclotron resonance plasma source. While there he gave seminars at Chalmers and at The Royal Institute of Technology, in Stockholm. He toured facilities in Finland involved in the development of processes and tools for the atomic layer deposition of semiconducting and insulating films.
Years: 2002 to 2003 Country / Region: England Summary: While collaborating with Epichem Inc. (now part of SAFC Hitech) on precursors for CVD and ALD of insulating films he vistied their facilities in 2003 and gave a seminar at nearby Durham University.
Years: 1999 to 2003 Country / Region: Taiwan Summary: NRC Canada and the National Science Council of Taiwan have had a long and fruitful relationship in which scientists and graduate students collaborate on research projects and exchange scientific visits. Expert visited Taipei and Hsin Chu in Taiwan twice and Professors from National Chiao Tung University (NCTU) and other universities in Taiwan visited Expert's labs at NRC in Ottawa. Two students from NCTU finished work for their PhD degrees in Expert's labs in Ottawa working on advanced dielectrics for CMOS technology.

Career Accomplishments

Associations / Societies
Expert was an associate editor of The Journal of The Electrochemical Society (ECS) He has been lead organizer or co-organizer of many symposia at the spring and fall meetings of The ECS, particularly in the areas oxide and nitrides for gate insulators in MOS technology and sensors for biomedical applications. He has been active in the Dielectric Science and Technology Division of the ECS, acting as its treasurer for four years and is now Vice Chair of this Division. Expert was Co-Chair of the Canadian Semiconductor Technology Conference in 2003 and 2005.
Licenses / Certifications
While a Manager at Delphax Printing Systems in 1980-1983, Expert attended night courses at the U. of Toronto and finished the course work constituting half the credits required for their Master of Business Administration.
Professional Appointments
Expert has been an Adjunct Professor at several Canadian Universities (U. of Toronto, McMaster U., U. of Western Ontario, and Carleton U.) and co-supervised many PhD and MSc students from these Universities who have worked in his lab at NRC.
Awards / Recognition
Expert was honored as a Fellow of the The Electrochemical Society in 2009 for improvements in the formation of nitrides and rare earth oxides for advanced electronic Devices, and for service to the Society.
Publications and Patents Summary
Expert has >80 conference presentations and >20 invited presentations. He co-authored over 200 publications in refereed scientific journals and conference proceeding and has 9 patents. He has been an editor of 11 conference proceedings and written three book chapters. The most recent chapter “Bioaffinity Sensors Based on MOS Field-Effect Transistors”, was in the book: Semiconductor Device-Based Sensors for Gas, Chemical, and Biomedical Applications, Fan Ren and Stephen J. Pearton, Eds, (CRC Press, Boca Raton, Florida, USA 2011), 215-266.

Language Skills

Language Proficiency
French Studied French for two years in highschool. Can converse but is not fluent.
German Studied German for two years in highschool. Can converse but is not fluent.
Dutch He was born in the Netherlands, spoke dutch at home till he was about 12, and visited relatives in Holland many times. His proficiency in dutch is limited

Fields of Expertise

Request Expert

Dev Tool:

Request: expert/electronic-material-processing-chemical-physical-analysis-device-modeling-biomedical-sensors
Matched Rewrite Rule: expert/([^/]+)(?:/([0-9]+))?/?$
Matched Rewrite Query: experts=electronic-material-processing-chemical-physical-analysis-device-modeling-biomedical-sensors&page=
Loaded Template: single-experts.php