Intellex Acquires Expert by Big Village

We're thrilled to announce that Intellex has acquired Expert by Big Village, effective March 22, 2024. This strategic move enhances our capabilities and strengthens our commitment to delivering exceptional solutions to our customers.

Stay tuned for more updates on how this acquisition will benefit our clients and experts.

For inquiries or more information, please contact us at info@intellex.com.

×

Expert Details

Semiconductor Device Process

ID: 716795 Connecticut, USA

Request Expert

Electronic Device Reliability; Semiconductor Device Reliability
A major focus of Expert's research over the past two decades has been on the reliability issues in semiconductor devices; in particular, high-field effects, hot-carrier effects, and ionizing radiation effects. His research in this area has led Expert over a dozen Ph.D. dissertations and over 100 articles published in professional journals.

Semiconductor Device Characterization; Semiconductor Material; Semiconductor Device Analysis
Over the years, Expert has developed and used a number of techniques Expert measure the electronic properties of semiconductor devices, including current-voltage (I-V), capacitance-voltage (C-V), conductance-voltage (G-V), avalanche hot-carrier injection, and charge pumping techniques. He is knowledgeable of the instrumentation, measurements, data analysis, and device physics aspects of all these techniques.

Radiation Effects In MOS Devices & Circuits
A major area of his research for nearly 20 years, Expert's study of radiation effects in MOS devices and circuits has resulted in the publication of a book in 1989 and over 100 technical articles on this subject.

Semiconductor Processing; Semiconductor Processing Equipment
A substantial amount of Expert's research has involved the fabrication of semiconductor devices, especially MOS devices. The processing techniques that are routinely used in his laboratory include wafer cleaning, oxidation, diffusion, photolithography, thin film deposition, etching, annealing, and various plasma-enhanced processes. Expert is familiar with failure analysis, radiation effects, manufacturing equipment, technological limitations, and process induced defects. He has been teaching a course on semiconductor processing for the past 10 years.

Education

Year Degree Subject Institution
Year: 1974 Degree: Ph.D. Subject: Engineering & Applied Science Institution: Yale University
Year: 1971 Degree: MS Subject: Engineering & Applied Science Institution: Yale University
Year: 1968 Degree: BS Subject: Electrical Engineering Institution: National Taiwan University

Work History

Years Employer Title Department
Years: 1985 to 2000 Employer: Yale University Title: Department:
Responsibilities:
Research and Teaching
Years Employer Title Department
Years: 1991 to 1995 Employer: Yale University Title: Chairman of Electrical Engineering Department:
Responsibilities:
Heading the Department of E.E., in addition Expert teaching and research.
Years Employer Title Department
Years: 1977 to 1985 Employer: Yale University Title: Department:
Responsibilities:
Teaching and Research
Years Employer Title Department
Years: 1974 to 1977 Employer: IBM Title: Engineer Department:
Responsibilities:
Research and Development of advanced MOS device technology.

Career Accomplishments

Associations / Societies
Fellow of IEEE
Life Member of APS
Life Member of Sigma Xi
Member of ECS, MRS, CASE, and YSEA
Professional Appointments
Board Member of UltraChina.com
Symposium Chair, Program Chair, and Program Co-Chair of International Symposium on VLSI/TSA
General Chair, Program Chair, and Arrangement Chair of IEEE/SISC Conference
Past Vice President and President of New Haven Chapter of Sigma Xi
Chair of Teaching and Learning Committee of Yale College
Awards / Recognition
Paul Rappaport Award of the IEEE Electron Device Society
2x BF Goodrich Collegiate Inventors Advisor Award
Fellow of IEEE
Connecticut Yankee Ingenuity Award
GE Whitney Symposium Lecturer
Cottrell Research Award
Harding Bliss Prize
Honorary Professorship of Chinese Academy of Science
Honorary Guest Professor of Tianjin University
Honorary Guest Professor of Tsinghua University
Publications and Patents Summary
Over 170 publications, 1 book, several book chapters, and 4 patents in the field of semiconductor device. (Physics and Technology)

Additional Experience

Expert Witness Experience
Expert witness for two lawsuits involving non-volatile semiconductor memory.
Training / Seminars
Over 100 seminars give at dozens of universities and industrial labs.

Language Skills

Language Proficiency
Chinese

Fields of Expertise

electronic device reliability, metal-oxide semiconductor device radiation effect, semiconductor device, semiconductor device analysis, semiconductor device reliability, semiconductor material, semiconductor material processing, semiconductor processing equipment, ionizing radiation, voltage, carrier injection, annealing, dielectric material, electric capacitance, electrical conductivity, electrical phenomenon, electrically conductive film, electronic device, electronics measurement, electronics, electronics testing, evaporated film, evaporation, fabrication, field-effect device, film, gate oxide, intrinsic semiconductor, low-temperature measurement, metal-insulator semiconductor device, metal-insulator semiconductor material, metal-oxide semiconductor material, microelectronics thin-film application, nondestructive testing, reliability, semiconductor device manufacturing, semiconductor material property, semiconductor wafer processing, silicon, silicon nitride, solid diffusion, thin-film deposition, thin-film electrical property, thin-film technology, transistor, vacuum deposition, vapor deposition, very large-scale integration, atomic layer deposition, non-volatile memory, discrete semiconductor device, education, injection, compound semiconductor material, band gap, rapid thermal semiconductor wafer processing, electric current measurement, space electronics science, binary semiconductor material, nitride compound, instrumentation (equipment), wide band gap semiconductor material, power semiconductor device, III-V semiconductor material, electrooptics, pumping, semiconductor wafer cleaning, low-temperature plasma, stress measurement, strain measurement, ionization, ion etching, electromagnetic radiation, radiation energy, deposition, very high-speed integration, transparent conductive thin film, solid-state electronics science, injector, metal-oxide-metal semiconductor material, X-radiation, gallium arsenide semiconductor, acceptor impurity, microelectronics science, electronic assembled device, solid-state physics, photolithography, nuclear radiation, vacuum pump, thin-film integrated circuit, thin-film circuit, sputter deposition, solar energy, photovoltaic cell, semiconductor diode, quantum mechanics, power electronics, plasma etching, plasma-enhanced chemical vapor deposition, physics, photoresist, ultraviolet lithography, oxide, optical film, non-crystalline structure, microlithography, fluorine, failure analysis, electrostatics, electrostatic discharge, electronics manufacturing, solid electric property, diffusion theory, diffusion annealing, crystalline structure, cleaning, chemical vapor deposition, applied electronics science

Request Expert

Dev Tool:

Request: expert/semiconductor-device-process
Matched Rewrite Rule: expert/([^/]+)(?:/([0-9]+))?/?$
Matched Rewrite Query: experts=semiconductor-device-process&page=
Loaded Template: single-experts.php