Intellex Acquires Expert by Big Village

We're thrilled to announce that Intellex has acquired Expert by Big Village, effective March 22, 2024. This strategic move enhances our capabilities and strengthens our commitment to delivering exceptional solutions to our customers.

Stay tuned for more updates on how this acquisition will benefit our clients and experts.

For inquiries or more information, please contact us at info@intellex.com.

×

Expert Details

Semiconductor Transistor & BEOL Technology (Logic,Memory,CIS,Power device) & Photovoltaic Technology

ID: 726110 California, USA

Request Expert

Expert is an expert in the Chemical Vapor Deposition (CVD) and Chemical Vapor Deposition Reactor design areas. His PhD work was on Metal Organic Chemical Vapor Deposition of GaAs, which involved the design and construction of an Atmospheric Pressure Chemical Vapor Deposition Epitaxial Reactor to study the chemical kinetics of various Chemical Vapor Deposition Precursor materials.

He joined Applied Materials in the late 1980's to develop the pioneering P5000 Thermal Plasma Chemical Vapor Deposition system, which is a milestone Semiconductor Cluster-Tooling Processing tool in the industry. His responsibilities included the Chemical Vapor Deposition Reactor design and development of Thin-Film Deposition Technology. The broad range of thin films includes Plasma-Enhanced Chemical Vapor Deposition and Thermal Chemical Vapor Deposition of Silicon Oxide, SIlicon Dioxide, Borophosphosilicate Glass, and SIlicon Nitride with different CVD precursors such as Silane, Methylsilane, and Tetraethoxysilane.

He is also a pioneer in the Low k materials development, again using his experience to develop the industry standard CVD Low k materials and technology (Black Diamond) which generated over billion dollars of revenue since the early 2000's.

Expert was the VP of Technology and Application in a Semiconductor Material Characterization and Metrology company that specialized in Wafer Stress, Curvature and Flatness measurement. This unique interferometry technique is very powerful and provides a non-destructive way to characterize materials properties with high resolution. He is also very experienced in all common Semiconductor Materials Characterization techniques used to optimize transistor and interconnect performance for advanced logic and memory devices.

Over his 20+ years career in the Semiconductor Wafer Processing, Expert has worked closely with Semiconductor Device Manufacturing customers from FEOL (Front End of Line) Transistor level to BEOL (Back End of Line) Interconnect level. He has vast experience in Semiconductor Processing Equipment from FEOL to BEOL, covering equipment for thin film deposition, wet and dry cleaning, etch, CMP, thermal and laser anneal, and various thin film and materials measurement metrology . He also has worked extensive with device manufacturers on Semiconductor Integrated Processing.

He has published over 30 technical papers, including a chapter on Chemical Vapor Deposition in the "Handbook of Semiconductor Manufacturing Technology" published in 2000. He also holds more than 20 patents.

Estimate cost of building a flat panel display factory for a client and also perform overall competitive analysis between different international locations for the optimal factory location. Activities include capital and facilities cost estimation, cost of ownership analysis, and labor cost estimation. Site location analysis include surveying the local political and business climates, government support, local technical and academic talent pool, and the transportation costs. Evaluate the potential business size for new products from a specialty chemical company. Survey the application capabilities of the new chemicals in all the possible semiconductor, LED and photovoltaic cell process flows. Estimate the production volume of each individual application.Analyze Photovoltaic cell technology trends for a materials vendor client. Perform market size forecast for various source materials and identify specific requirements for each application. Identify potential competitors and perform competitive analysis.

Education

Year Degree Subject Institution
Year: 1988 Degree: PhD Subject: Chemical Engineering Institution: University of Minnesota, Minneapolis
Year: 1983 Degree: BS Subject: Chemical Engineering & Materials Science Institution: University of California, Berkeley

Work History

Years Employer Title Department
Years: 2006 to 2009 Employer: Ultratech Inc. Title: Director of Technical Marketing Department: Laser Technology
Responsibilities:
He directed and managed global penetration and customer startup & support of Laser Anneal and CGS (Stress Measurement) products. He also developed new application areas besides Advanced Logic, DRAM and Flash (CMOS Image Sensors, Discrete Power Devices, DRAM and Flash Memory) for Laser Anneal and CGS products through close collaboration with customers. His responsibilities include both technology and technical marketing.
Years Employer Title Department
Years: 2005 to 2006 Employer: Oraxion Diagnostic Inc. Title: VP of Technology and Applicaiton Department: Technology and Application
Responsibilities:
He led and managed the global penetration and customer startup & support of CGS (Stress Measurement) product. He was also responsible for the development of new applications for CGS product through close collaboration with customers. He established BKM product qualification and calibration procedure, and also worked together with Software and Engineering to improve and upgrade product features and capabilities.
Years Employer Title Department
Years: 1988 to 2005 Employer: Applied Materials, Inc. Title: Director of Technology Department: Various Thin Film Technology Departments
Responsibilities:
He led various successful commercial products with billion dollars of total revenue in his 17 years career at Applied Materials. He was a pioneer in Low k materials development in the semiconductor industry. His responsibilities over the years included leading development and managing release of various new products, leading qualification of new technologies for advanced logic and memory customers, setting market and customer penetration strategies, and managing installed base support for customers.

International Experience

Years Country / Region Summary
Years: 1988 to Present Country / Region: Asia Summary: He has travelled extensively in Asia, including Japan, Korea, Singapore, Taiwan and Mainland China, all through his career. Early in his career, he worked hands on with customers to qualify equipment in advanced manufacturing plants. Later on in his career, he mostly visited customers to promote new products and technologies, as well as gave seminar presentations in various conferences.
Years: 1988 to Present Country / Region: Europe Summary: He has travelled extensively in Europe, including France, Italy, and Germany, all through his career. Early in his career, he worked hands on with customers to qualify equipment in advanced manufacturing plants. Later on in his career, he mostly visited customers to promote new products and technologies, as well as gave seminar presentations in various conferences.

Career Accomplishments

Associations / Societies
Electrochemical Society, Society of Industry Leaders
Publications and Patents Summary
He is a prolific technical writer and technology inventor. He has over 30 technical publications and holds more than 20 patents. He also authored a chapter on Chemical Vapor Deposition in the "Handbook of Semiconductor Manufacturing Technology" which was published in 2000.

Additional Experience

Expert Witness Experience
He was deposed for a patent infringement case of Low k Dielectrics: Applied Materials vs. Novellus Systems, Inc in early 2000. He provided expert data and information, resulting in a settlement.
Training / Seminars
He averages one or two comphrensive Technology Tutorial or Sales Training per year on state of the art semiconductor and equipment technologies both within the company and also in public conferences. He consistently demonstrates excellent presentation skill in seminars with large audience of well over 100 attendees.
Vendor Selection
He was involved with vendor selection during new product development as well as Continuous Improvement Programs for existing products. Activities include design of reliability testing, comphrensive data review, vendor audit, and commercial negoiation.
Marketing Experience
He is an expert in Semiconductor Manufacturing Technologies from FEOL Transistor Frabrication to the BEOL Cu Low k Interconnect for Advanced Logic and Memory devices as well as Discrete Power and Image Sensors devices. He has worked on product development, customer technology qualification and market analysis for technologies from FEOL to BEOL. He is a prolific technical writer and technology inventor. He has over 30 published papers and holds more than 20 patents in these areas. His publications include a review chapter on Chemical Vapor Deposition in the "Handbook of Semiconductor Manufacturing Technology" published in 2000. He has established a wide reputation in the industry with close working relationships with all major semiconductor manufacturers over the years.
Other Relevant Experience
Over the years, he has very close working relationship with all major semiconductor manufacturers, and is very up to date on the state of the art technologies and industry trends. Being the lead technical person in the leading equipment company allows him a very wide and in depth view of the whole industry which gives him unique insights in the industry.

Language Skills

Language Proficiency
Chinese Fluent in both Mandarin and Cantonese.

Fields of Expertise

chemical vapor deposition, atmospheric pressure chemical vapor deposition, borophosphosilicate glass, chemical vapor deposition precursor material, chemical vapor deposition reactor, deposition technology, epitaxial reactor, metal organic chemical vapor deposition, plasma-enhanced chemical vapor deposition, semiconductor cluster-tooling processing, silicon dioxide, silicon dioxide chemical vapor deposition, thin-film deposition, thermal plasma chemical vapor deposition, tetraethoxysilane, silicon nitride, silicon oxide, flatness, semiconductor material characterization, semiconductor device manufacturing, semiconductor integrated processing, semiconductor processing equipment, semiconductor wafer processing, atomic layer deposition, semiconductor wafer inspection, laser sintering, semiconductor industry chemical, cobalt silicide, discrete semiconductor device, high-purity silicon, plasma damage, semiconductor device package reliability, low-pressure epitaxy, four point probe, drive diffusion, ion vapor deposition, compound semiconductor material, high-density gas plasma, polycrystalline silicon, amorphous silicon, silicon coating material, silicon chemical vapor deposition, energy band, charge carrier, rapid thermal processing, contamination prevention, semiconductor defect analysis, thin-film processing, silicon semiconductor growth, thermal plasma diamond deposition, power semiconductor device, thermal spray technology, semiconductor material processing, electron tunneling, microelectronics thin-film application, low-temperature plasma, laser chemical vapor deposition, semiconductor device analysis, metal-insulator-metal material, metal-oxide semiconductor material, gallium arsenide semiconductor, semiconductor wafer, semiconductor ion implantation, vapor-phase epitaxy, transistor, thin film, semi-insulating polysilicon, semiconductor material, semiconductor metallizing, semiconductor doping, integrated circuit, semiconductor growth, germanium, epitaxy, semiconductor diffusion, diamond thin film

Request Expert

Dev Tool:

Request: expert/semiconductor-transistor-beol-technology-logicmemorycispower-device-photovoltaic-technology
Matched Rewrite Rule: expert/([^/]+)(?:/([0-9]+))?/?$
Matched Rewrite Query: experts=semiconductor-transistor-beol-technology-logicmemorycispower-device-photovoltaic-technology&page=
Loaded Template: single-experts.php