Intellex Acquires Expert by Big Village

We're thrilled to announce that Intellex has acquired Expert by Big Village, effective March 22, 2024. This strategic move enhances our capabilities and strengthens our commitment to delivering exceptional solutions to our customers.

Stay tuned for more updates on how this acquisition will benefit our clients and experts.

For inquiries or more information, please contact us at info@intellex.com.

×

Find an Expert

ID: 724157 Illinois, USA

Thin Film Deposition, Chemical Mechanical Polishing, Semiconductor Process Integration

Expert has 10 years of experience developing consumable products and processes for application in chemical mechanical polishing. He holds approximately ten patents and patent applications on consumables and processes for chemical mechanical polishing. The expert has intimate knowledge of chemical…

ID: 723539 Canada

Engineering and Physics of Photonics and Electronics Technology

A major part of his career has been engaged in the technology of compound semiconductors, especially from the III-V family of semiconductors (using elements from group III and group V of the periodic table), which are of great interest for…

ID: 723141 New York, USA

Semiconductor Materials Characterization Thin Film Deposition

He has extensive experience, over 20 yrs, in the field of epitaxial deposition of III-V semiconductor materials for opto-electronic devices (InGaAsP/InGaAlAs/InP and AlGaAs/InGaP/GaAs based materials). This includes the growth of high-speed bipolar transitors, phototransistors, lasers, pin and avalanche high-speed photodetectors.…

ID: 107587 Maryland, USA

Photonics, Semiconductors

COMPOUND SEMICONDUCTOR MATERIAL; III-V SEMICONDUCTOR MATERIAL. Expert (prefers Ave) began his work on compound semiconductors in the early days of their development. He did some of the pioneering work on photoeffects, electrical and thermal transport, and scattering in GaAs as…

ID: 108231 Washington, USA

Semiconductor Technology

Since 1960, Expert (pronounced rye-chowdree) has made extensive contributions to materials and semiconductor technology. During his early years with Westinghouse, he conducted pioneering work in the areas of silicon epitaxy, selective epitaxy, and polysilicon. He has made significant contributions in…

ID: 108119 Canada

Pure Metals & Compound Semiconductors

SEMICONDUCTOR TECHNOLOGY; BRIDGMAN TECHNIQUE; CZOCHRALSKI PROCESS. Expert has 43 years of experience in the growth, characterization, and fabrication (to wafers, etc.) of the infrared sensitive compound semiconductors, including InSb, GaSb, InAs, CdTe, HgTe, and (Cd-Hg)Te. He presently is focusing primarily…

ID: 716795 Connecticut, USA

Semiconductor Device Process

Electronic Device Reliability; Semiconductor Device Reliability A major focus of Expert's research over the past two decades has been on the reliability issues in semiconductor devices; in particular, high-field effects, hot-carrier effects, and ionizing radiation effects. His research in this…

ID: 714244 New Mexico, USA

Microelectronics Processing and Contamination Control, Cleanrooms, Particulates, Ultra High Purity

Expert has twenty years of research experience in semiconductor technology in major industrial laboratories. This, combined with his expertise gained by fifteen years managing microelectronics laboratories where he performed semiconductor fabrication research at two major universities (Minnesota and Arizona), makes…

ID: 712724 Illinois, USA

Semiconductor Lasers, Photonic Devices, MOCVD Growth

Expert has expertise in many aspects of materials (including crystal) growth, characterization, and devices made from compound semiconductors, especially GaAs and related materials. This includes both liquid phase epitaxial growth and and metalorganic chemical vapor deposition of both optical and…

ID: 107677 Ohio, USA

Integrated Optics

OPTICAL MATERIALS. Expert performs research in the areas of integrated optics and optoelectronics, nonlinear optics, and optical material characterization. He has studied compound semiconductors and polymers in optical waveguide structures, some of which incorporate nanostructures to enhance optical nonlinearities. Research…

Dev Tool:

Request: experts/iii-v-semiconductor-material/page/2
Matched Rewrite Rule: ^experts\/([^\/]*)\/?([^\/]*)\/?page\/([0-9]{1,})\/?
Matched Rewrite Query: pagename=experts&searchKeyword=iii-v-semiconductor-material&searchDiscipline=&paged=2
Loaded Template: page-experts.php